Costruire una entita' di testbench per lo shift register a quattro bit descritto nel file shreg.vhdl. Tenere in considerazione che i primi quattro colpi di clock servono a far defluire i valori (incogniti) contenuti inizialmente nel registro.