entity DEC_BCD_7 is port (X: in bit_vector(0 to 3); Z: out bit_vector (0 to 6)); end DEC_BCD_7; architecture DATAFLOW of DEC_BCD_7 is begin with X select -- abcdefg BCD Z <= "1110111" when "0000", -- 0 "0010010" when "0001", -- 1 "1011001" when "0010", -- 2 "1011011" when "0011", -- 3 "0111010" when "0100", -- 4 "1101011" when "0101", -- 5 "1101111" when "0110", -- 6 "1010010" when "0111", -- 7 "1111111" when "1000", -- 8 "1111011" when "1001", -- 9 "1101101" when others; -- Errore end DATAFLOW;