entity REG4 is port ( d: in bit_vector (0 to 3); clk: in bit; q: out bit_vector (0 to 3)); end REG4; architecture STRUCT of REG4 is component FF_D port (d, clk: in bit; q: out bit); end component; begin end STRUCT;