entity FF_D is port (d, clk: in bit; q: out bit); end FF_D; architecture BEHAV of FF_D is begin process (clk) begin if (clk'event and clk='1') then q <= d; end if; end process; end BEHAV;