-- -- Full adder a 8 bit -- entity fulladd8 is port (i0, i1 : in bit_vector(7 downto 0); -- input ci : in bit; -- riporto in input s : out bit_vector(7 downto 0); -- somma (output) co : out bit); -- riporto in output end fulladd8; architecture struct of fulladd8 is component fulladd port (i0, i1 : in bit; ci : in bit; s : out bit; co : out bit); end component; begin end struct;