entity latch is port (d, enable: in bit; q: out bit); end latch;