entity reg6_as_reset is port (X : in bit_vector(5 downto 0); clock : in bit; reset : in bit; Z : out bit_vector(5 downto 0) ); end reg6_as_reset;