library ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; entity cont8_sin_reset_up is port (clock : in std_logic; reset : in std_logic; up : in std_logic; Z : out std_logic_vector(7 downto 0) ); end cont8_sin_reset_up;