library ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; entity cont8_sin_load is port (clock : in std_logic; load : in std_logic; X : in std_logic_vector(7 downto 0); Z : out std_logic_vector(7 downto 0) ); end cont8_sin_load;